US Export Controls for Semiconductor Manufacturing Equipment

Key amendments effective November 17, 2023

John Anwesen

11/17/20235 min read

On November 17, 2023, two interim final rules from the U.S. Department of Commerce’s Bureau of Industry and Security (BIS) concerning advanced computing and semiconductor technologies go into effect. The rule initially published on October 13, 2022, titled ‘Certain Advanced Computing and Semiconductor Manufacturing Items; Supercomputer and Semiconductor End Use,’ underwent partial revisions. These revisions were detailed in two separate publications on October 25, 2023. The first addressed specific updates in ‘Certain Advanced Computing and Supercomputer and Semiconductor End Use,’ while the second focused on revisions in ‘Semiconductor Manufacturing Items.’ To fully understand the scope and impact of these rules, it’s essential to read all three documents. The deadline for public comments on the new interim final rules is December 18, 2023. This article highlights key aspects of the interim final rule (“IFR”) concerning semiconductor manufacturing equipment (SME) in the second revision publication.

BIS introduced these rules to prevent the advancement of military capabilities of U.S. adversaries using sensitive technology. The technologies in question include advanced computing integrated circuits, semiconductor manufacturing equipment, and supercomputing capacity enhancements. These are pivotal in developing weapons of mass destruction (WMD), advanced AI systems, autonomous weapons, cyberweapons, hypersonics, and high-tech surveillance.

Key amendments in the SME rule include expanding the country scope, refining product specifications, clarifying “U.S. persons” activities, and broadening the end-use spectrum. The revisions primarily focus on tightening controls over the “development” and “production” of advanced node integrated circuits, rather than legacy integrated circuits.

Primarily, the revisions trigger a license requirement for exporting, reexporting, and in-country transferring of specific SME items listed under certain Export Control Classification Numbers (ECCN) or for certain activities by “U.S. persons” concerning the same items but which would otherwise be outside the jurisdiction of the Export Administration Regulations (EAR), when such transactions are directed to or within Macau or any country specified in Country Group D:5.

Country Scope

The amendments represent a strategic shift in controlling the export of critical technology to countries with high risk of military or unauthorized end-use. BIS had previously identified Country Group D:5 and Macau as destinations of concern due to national security, WMD proliferation, diversion risks, and U.S. arms embargoes. Some of the countries in this group include Belarus, China, Cyprus, Iraq, Lebanon, Libya, Russia, Syria, and Venezuela. Transactions involving Cyprus, however, my be eligible from a new Temporary General License, which is discussed below in this article.

Product Scope

  1. ECCN 3B090 Revisions: The IFR transfers provisions of ECCN 3B090 (advanced semiconductor manufacturing equipment) to ECCNs 3B001 and 3B002 and makes associated changes to software and technology controls under ECCNs 3D001, 3D002, 3D003, and 3E001. Current licenses for ECCN 3B090 remain valid, easing the transition for exporters. Post-November 17, 2023, however, new ECCNs must be used for export clearances.

  2. Advanced-Node IC Focus: Enhanced controls under ECCNs 3B001 and 3B002 and associated software and technology ECCNs now primarily focus, with limited exceptions, on advanced-node integrated circuit production technology with node sizes of 16/14 nanometers and below.

  3. ECCN 3B001 Technical Revisions: The IFR introduces specific technical revisions targeting key processes in semiconductor wafer manufacturing. These amendments concentrate on equipment specifically designed (or modified) for advanced semiconductor fabrication, including:

    • Epitaxial growth, notably for silicon (Si), carbon-doped silicon, silicon germanium (SiGe), and carbon-doped SiGe, with defined parameters;

    • Ion implantation;

    • Isotropic and anisotropic plasma dry etching, including atomic layer etching;

    • Wet chemical processing;

    • Various deposition methods such as cobalt (Co) electroplating or electroless plating, chemical vapor deposition, multi-step metal contact fabrication, plasma-enhanced vapor deposition, Atomic Layer Deposition (ALD), Spatial ALD, metal layer deposition in vacuum or inert gas environment, remotely generated radical-assisted deposition, void-free plasma-enhanced deposition, and silicon-carbon film deposition;

    • Lithography;

    • Processes involving Extreme Ultraviolet photoresist, including coating, depositing, baking, or developing;

    • Manufacturing EUV pellicles;

    • Vacuum annealing operations;

    • Removal and cleaning processes.

The amendments shift focus to equipment designed for producing advanced-node logic integrated circuits. This transition from “capable of” to “designed for” in regulatory language indicates a more targeted control approach, emphasizing equipment specifically intended for advanced semiconductor manufacturing.

  1. Clarifying Definitions and Notes: The IFR introduces definitions such as “Extreme Ultraviolet” (“EUV”) and “advanced-node integrated circuits,” providing exporters with essential technical clarity.

  2. ECCN 3B002 Revisions for Testing: The IFR includes equipment designed for inspecting semiconductor devices under ECCN 3B002, with a focus on EUV mask blanks or patterned masks.

End-Use Scope

The end-use control previously applied when there was knowledge of the SME’s use in “development” or “production” of specified items. The IFR now focuses on front-end integrated circuit “production” equipment and related components, assemblies, and accessories. The controls would still capture “development” activities occurring at the same “facility” where “production” occurs. But facilities engaged exclusively in “development” of consumer items, such as designing integrated circuits for smartphones, to be produced outside of China would not automatically trigger a license requirement.

  1. Front-End Integrated Circuit Production Equipment:

    • The end-use scope under 15 C.F.R. § 744.23(a)(4) is now specific to front-end integrated circuit production equipment.

    • ‘Front-end integrated circuit production equipment' is defined as equipment used from a blank wafer stage to a completed wafer.

    • The BIS seeks comments on potential exclusions, like items used only in legacy technology node production.

  2. Back-End Exclusion:

    • In § 744.23(a)(2), “production” excludes back-end steps like assembly, test, or packaging that do not alter the circuit technology level.

    • For ambiguities about the equipment’s use in front-end production, an advisory opinion can be requested from the BIS.

Scope of U.S. Persons Activities

The IFR clarifies controlled activities for “U.S. persons” related to specified SME items that are otherwise not subject to the EAR jurisdiction. Those activities include shipping, transmitting, transferring (in-country), facilitating such activities, or servicing, including installation. “Facilitation” excludes administrative, clerical, and legal advice activities but includes other activities directly responsible for prohibited activities.

Foreign persons that are otherwise not subject to these controls but engaging in activities contrary to U.S. interests may be added to the Entity List, imposing additional license requirements.

License Review Policies

The license review policy generally carries a presumption of denial for Macau and destinations in Country Group D:5, with exceptions.[1]

Certain license requirements continue to not apply to “deemed exports or deemed reexports.” “Deemed exports” means release of controlled technology to foreign individuals within the U.S. The BIS is considering removing this exception and is seeking public feedback regarding the impact of this provision on their business and operations.

SME items specified in 3B001.a.4, c, d, f.1.b, k to p and 3B002.b and c and associated software and technology remain ineligible for all license exceptions other than License Exception GOV for government purposes.

Implementation of a 0% De Minimis Rule

This new rule applies to lithography equipment in ECCN 3B001.f.1.b.2.b for advanced-node integrated circuits. An exception exists for items listed on equivalent foreign export control lists, with Japan as the sole example.

New Limited Temporary General License (TGL)

The TGL, effective until December 31, 2025, grants temporary authorization for specific transactions. This provision applies to companies headquartered in the United States or in countries part of Country Group A:5 or A:6. However, it excludes companies with majority ownership in Macau or any Country Group D:5 nation. Cyprus, which, despite being in Country Group D:5, is also a member of Country Group A:6 and thus eligible for the TGL, provided it is not majority-owned by entities in other Country Group D:5 nations or Macau. The TGL is limited to SME items that are less restricted and controlled only for antiterrorism (AT) reasons, and it does not extend to transactions involving entities listed for other control reasons.

[1] The BIS notes that “the presumption of denial license review policy leaves room for an applicant to make a case for approval, unlike a policy of denial.”